Hvordan låse en Pin i VHDL

VHDL står for "VHSIC hardware beskrivelsesspråk." Denne programmeringsspråk fungerer med elektronisk design automatisering for å sette opp integrerte kretser, gate arrays og andre systemer. Når du arbeider med en programvarepakke som bruker VHDL i systemer design, kan du importere VHDL filer og lås i pinnene på enheten du analyserer bestemte signaler fra testenhet.

Bruksanvisning

1 Importer VHDL-filen du ønsker å arbeide med. Hvis synge ispLEVER, gå til "Source" og deretter "Import." En dialogboks vil dukke opp, så du bør velge mappen der ønsket fil er plassert. Klikk på "Open" og finn filen inne. Velg "VHDL Module" når "Import Kilde Type" dialogboksen vises, og deretter trykke "OK".

2 Gå til dialogboksen som inneholder kildene du bruker for prosjektet. I ispLEVER, vil dette være under "Kilder i prosjektet." Finn "Prosesser for Current Source" og dobbeltklikk på "Constraint Editor."

3 Beveg musen for å "Loc" i verktøylinjen i Constraint vinduet og klikk på den. Når signalet liste dialogboksen dukker opp, koble pin tall til sine oppdrag. Når du er ferdig med å låse signaler til sine pins, kan du klikke "OK" for å lagre konfigurasjonen. Lagre alle disse endringene.